Projet

Général

Profil

0035-misc-fix-unidiomatic-typecheck-pylint-error-62099.patch

Lauréline Guérin, 22 mars 2022 10:30

Télécharger (1,71 ko)

Voir les différences:

Subject: [PATCH 35/65] misc: fix unidiomatic-typecheck pylint error (#62099)

 passerelle/contrib/solis_apa/models.py | 6 +++---
 1 file changed, 3 insertions(+), 3 deletions(-)
passerelle/contrib/solis_apa/models.py
111 111
        name = ret['ReferentialOutputWS']['Entries']['@name']
112 112
        if count:
113 113
            entries = ret['ReferentialOutputWS']['Entries']['Entry']
114
            if type(entries) is not list:
114
            if not isinstance(entries, list):
115 115
                entries = [entries]
116 116
            l += entries
117 117
        return {'results': l, 'name': name, 'count': count, 'error': False}
......
131 131
        count = int(ret['ConciliationOutputWS']['Results']['@count'])
132 132
        if count:
133 133
            results = ret['ConciliationOutputWS']['Results']['ResultsByAffinity']
134
            if type(results) is not list:
134
            if not isinstance(results, list):
135 135
                results = [results]
136 136
            for r in results:
137 137
                affinity = r['@affinity']
138 138
                entities = r['Entities']['entity']
139
                if type(entities) is not list:
139
                if not isinstance(entities, list):
140 140
                    entities = [entities]
141 141
                for e in entities:
142 142
                    e = conciliation.conciliation_output2dict(config, e)
143
-